[ ESXi ] ¸®´ª½º¿¡ PowerCLI Á¤»ó µ¿ÀÛÇÏ´Â ºÐ ?

   Á¶È¸ 3585   Ãßõ 0    

윈도우에서 PowerCLI는 쉽게 정상 동작합니다.

구글링 해서, 그대로 따라 해도 리눅스에서는 정상적으로 host에 연결이 안되네요


전문가분의 리시피 공유 부탁 드려봅니다.

PowerShell Core / PowerCLI 버전별 특성이 많은 거 같긴 합니다.

감사합니다.


[nuc] root:~ >   $  powershell
PowerShell
Copyright (C) Microsoft Corporation. All rights reserved.

PS /root> $PSVersionTable.PSVersion                                                                                   

Major Minor Patch Label
----- ----- ----- -----
    6     0     0 alpha

PS /root> Get-Module -ListAvailable PowerCLI* | Import-Module                                                        
PS /root> Get-Module -ListAvailable PowerCLI*                                                                         


    Directory: /root/.local/share/powershell/Modules


ModuleType Version    Name                                ExportedCommands                                          
---------- -------    ----                                ----------------                                          
Binary     6.0.0.0    PowerCLI.Cis                                                                                  
Binary     1.21       PowerCLI.Vds                                                                                  
Binary     1.21       PowerCLI.ViCore                     HookGetViewAutoCompleter                                   


PS /root> Connect-VIServer -Server 192.168.25.193 -User root -Password qlsfkeps                                      
Connect-VIServer : 4/10/18 4:36:25 AM   Connect-VIServer                The libcurl library in use (7.29.0) and its SSL backend
("NSS/3.28.4") do not support custom handling of certificates. A libcurl built with OpenSSL is required. <=========== !!!


[nuc] root:~ >   $  curl -V
curl 7.29.0 (x86_64-redhat-linux-gnu) libcurl/7.29.0 NSS/3.28.4 zlib/1.2.7 libidn/1.28 libssh2/1.4.3
Protocols: dict file ftp ftps gopher http https <============= !!!!

 imap imaps ldap ldaps pop3 pop3s rtsp scp sftp smtp smtps telnet tftp
Features: AsynchDNS GSS-Negotiate IDN IPv6 Largefile NTLM NTLM_WB SSL libz unix-sockets

ªÀº±Û Àϼö·Ï ½ÅÁßÇÏ°Ô.


QnA
Á¦¸ñPage 1492/5663
2014-05   4895093   Á¤ÀºÁØ1
2015-12   1433936   ¹é¸Þ°¡
2023-11   3586   Rich
2021-03   3586   AutoCAD
2015-07   3586   õ¿Üõoo³ë¡¦
2019-11   3586   Èï¼±´ë¿ø±º
2017-12   3586   ¸ùź
2014-05   3586   Nikon
2015-09   3586   ¹Ì¼ö¸Ç
2019-12   3586   SonicGTR
2017-04   3586   ºü½Ã¿Â
2017-05   3586   µÎcpu
2020-12   3586   ÀÓµÎȯ
2014-10   3586   ¼ÛÁøÇö
2016-09   3586   ±ÝÄáÄ¿ÇÇ
2019-07   3586   ±èµ¿Çõ1
2018-07   3586   ´ÃÆĶõ
2017-04   3586   ±è¿µ±â´ëÀü
2014-03   3586   ¹«¾Æ
2018-04   3586   Àü¼³¼ÓÀǹ̡¦
2020-03   3586   ¹ÚÁß¼®
2017-07   3586   Àü»êoa